Chirongwa cheGoogle chinobvumira kugadzirwa kwemahara kwemabhechi ebvunzo emachipi akavhurika

Munguva pfupi yapfuura Nhau dzakabuda kuti Google yakabatana nayo makambani ekugadzira SkyWater Technology uye Efabless kutanga chirongwa iyo inobvumira yakavhurika sosi hardware vagadziri kuti vavake machipisi avanogadzira vakasununguka.

Kutanga ine chinangwa chekusimudzira kuvandudzwa kwehardware yakavhurika, kuderedza mari yakavhurika yekuvandudza purojekiti uye kurerutsa kudyidzana nezvigadzirwa zvekugadzira.

Ndinotenda nekuda kwekutanga chero munhu anogona kutanga kugadzira yavo machipisi pasina kutya kwemitengo yakakwira yekugadzirwa kwekutanga prototypes. Google inobhadhara zvese kugadzira, kurongedza, uye mari yekutumira.

Zvikumbiro zvekubatanidzwa muchirongwa chemahara chekugadzira inogona kutumirwa mwedzi miviri yega yega. Iyo yepedyo slot ichavhara muna Chikumi 8, uye machipi akakwanisa kupinda achange akagadzirira Nyamavhuvhu 30 uye achatumirwa kuvanyori muna Gumiguru 18.

Pazvikumbiro zvakatumirwa, mapurojekiti makumi mana anosarudzwa (Kana paine zvisingasviki makumi mana zvikumbiro zvakatumirwa, zvese izvo zvakapfuura kutonga kwekugadzirisa zvinoiswa mukugadzira). Zvichienderana nemhedzisiro yekugadzirwa, mugadziri anogashira makumi mashanu machipi uye mashanu mabhodhi ane akaiswa machipisi.

TLDRs; Chikwata cheGoogle Hardware Toolchains chiri kuparura dhizaini nyowani, developer.google.com/silicon , kubatsira nharaunda yevagadziri kuti vatange nechirongwa chavo cheOpen MPW chekufambisa. Izvi zvinobvumira chero munhu kuendesa yakavhurika sosi IC dhizaini kuti igadzirwe pasina muripo.

Kubva muna Mbudzi 2020, Skywater Technologies payakazivisa kudyidzana kwayo neGoogle kuti ivhure Process Dhizaini Kit yeSKY130 process node, timu yeHardware Toolchains iri pano paGoogle yanga iri parwendo rwekuita kuti kuvakwa kwesilicon kuvhure kuwanikwe. Kuve nekuwana yakavhurika sosi uye inogadzirwa PDK inoshandura mamiriro eiyo tsika silicon dhizaini indasitiri uye academia:
Vagadziri vave vakasununguka kutanga mapurojekiti avo pasina NDA uye zvirambidzo zvekushandisa.
Vatsvagiri vanogona kuita kuti tsvakiridzo yavo idzokororwe nevezera ravo.
Vhura sosi EDA zvishandiso zvinogona kubatanidzwa zvakadzika nemaitiro ekugadzira

Zvikumbiro chete zvemapurojekiti akagoverwa zvizere pasi pemarezinesi akavhurika anogamuchirwa, isina kuomerwa nezvibvumirano zvekusaburitsa pachena (NDA) uye izvo zvisingatadzise chiyero chezvigadzirwa zvavo.

Google yakapa mukana wekugadzirwa kwemahara kweakavhurika chip test batches

Iyo data yekugadzira inofanirwa kuendeswa mune iyo GDSII fomati, ipfuure yakapihwa test suite, uye idzokororwe kubva kumafaira epurojekiti yekutanga (kureva kuzivisa chirongwa chakavhurika, asi hazvishande kuendesa dhizaini yekugadzira).

Imwe neimwe purojekiti ine yakamisikidzwa 2,92mm x 3,52mm mushandisi nzvimbo uye 38 I/O mapini pane yakafanotsanangurwa harness yekusimbisa dhizaini yako. Iyo ine zvakare inodiwa yekuyedza zvivakwa kuti isimbise zvakatemwa uye maitiro eiyo chip isati yatumirwa kurekodha.

Kurerutsa kuvhurika chip kuvandudza, zvinotevera maturusi akazaruka anopiwa:

  • SkyWater PDK (Process Design Kit), kit yezvishandiso inotsanangura iyo 130nm yekugadzira maitiro (SKY130) inoshandiswa muSkyWater fekitori uye inobvumidza iwe kugadzirira mafaera ekugadzira anodiwa pakugadzira chip.
  • OpenLane seti yezvikamu zvekushandura otomatiki kweASIC RTL maseketi kune iyo GDSII fomati inoshandiswa mumafekitori echip.
  • XLS (Yakawedzera HW Synthesis) seti yezvishandiso zvekugadzira mafaera epurojekiti ane chip hardware padding inoenderana neiyo yakapihwa yepamusoro-mwero tsananguro yezvinodiwa mashandiro, akagadzirwa muchimiro chekuvandudza software.
  • Seti yemitemo yeBazel musangano system ine tsigiro yezvishandiso zvakavhurika (Yosys, Verilator, OpenROAD) yekushanda nemitauro yekutsanangura Hardware (Verilog, VHDL, Chisel, nMigen).
  • OpenROAD igadziriro yekugadzira otomatiki iyo yakavhurika yedunhu kusimudzira maitiro.
  • Verible seti yezvishandiso zvekuvandudza Verilog, kusanganisira parser, chimiro chekufometa system, uye linter.

Finalmente kana iwe uchifarira kuziva zvakawanda nezvazvo, unogona kutarisa ruzivo Mune inotevera chinongedzo.


Siya yako yekutaura

Your kero e havazobvumirwi ichibudiswa. Raida minda anozivikanwa ne *

*

*

  1. Inotarisira iyo data: Miguel Ángel Gatón
  2. Chinangwa cheiyo data: Kudzora SPAM, manejimendi manejimendi.
  3. Legitimation: Kubvuma kwako
  4. Kutaurirana kwedata
  5. Dhata yekuchengetedza: Dhatabhesi inobatwa neOccentus Networks (EU)
  6. Kodzero: Panguva ipi neipi iwe unogona kudzora, kupora uye kudzima ruzivo rwako