I-Kernel 5.19 ifika nokuphuculwa kweenkqubo, inkxaso ye-hardware, ukhuseleko kunye nokunye

Inguqulelo entsha yeKernel 5.19 sele ikhutshiwe kwaye kolu guqulelo lutsha, phakathi kolona tshintsho luphawulekayo, umzekelo, i inkxaso yoyilo lweprosesa yeLoongArch, "BIG TCP" udibaniso lwesiqwenga, "kwimfuno yemfuno" kwi-fscache, ukususwa kwekhowudi ukuxhasa ifomathi ye-a.out, ukukwazi ukusebenzisa ZSTD ukucinezela i-firmware, i-interface yokulawula i-memory offset kwindawo yomsebenzisi, ukuthembeka okuphuculweyo kunye nokusebenza kwe-pseudo-random number generator, inkxaso ye-Intel IFS (In-Field Scan), AMD SEV-SNP (Secure Nested Paging), Intel TDX (Trusted Domain Extensions) kunye nezandiso ze-ARM SME (Scalable Matrix Extension).

Inguqulelo entsha yamkele ukulungiswa kwe-16401 kubaphuhlisi be-2190 (uguqulelo lwamva nje lunezilungiso ze-16206 ezivela kubaphuhlisi be-2127), ubungakanani bepatch: 90 MB (utshintsho oluchaphazelekayo lweefayile ze-13847, zongezwa imigca ye-1149456 yekhowudi, isuswe imigca ye-349177).

Iindaba eziphambili zekernel 5.19

Kolona tshintsho luphawulekayo kolu guqulelo lutsha, singakhankanya ukuba kufunyenwe oko xa uqulunqa ngeClang 15, indlela yokwenza i-randomization ixhaswa yesakhiwo se-kernel.

Inkqubo ukuvala umhlaba, evumela ukunciphisa intsebenziswano yeqela leenkqubo kunye nokusingqongileyo kwangaphandle, inkxaso yemithetho inikezelwe vumela oko lawula ukwenziwa kwemisebenzi ukutshintshwa kwefayile.

Inkqubo esezantsi IMA (Integrity Measurement Architecture), eyilelwe ukujonga imfezeko yamacandelo enkqubo yokusebenza kusetyenziswa imisayino yedijithali kunye neehashi, itshintshiwe ukuze isebenzise i-fs-yokuqinisekisa umnqongo wokuqinisekisa ifayile.

Kutshintshwe ingqiqo yeentshukumo xa kucinywa ufikelelo olungelulo lwamalungelo kwi-eBPF engaphantsi kwenkqubo; ngaphambili, yonke imiyalelo eyayanyaniswa ne bpf() inkqubo yokufowuna iye yacinywa, kwaye ngokoguqulelo 5.19, ufikelelo kwimiyalelo engakhokheli ekudalweni kwento iye yagcinwa. Ngolu kuziphatha, inkqubo enelungelo iyadingeka ukulayisha inkqubo ye-BPF, kodwa iinkqubo ezingenanto zingasebenzisana neprogram.

Yongezwe inkxaso yokubuyela umva koqhagamshelwano lweMPTCP (I-MultiPath TCP) ukuya kwi-TCP ecacileyo, kwiimeko apho imisebenzi ethile ye-MPTCP ayinakusetyenziswa. I-MPTCP lulwandiso lweprotocol ye-TCP ukuququzelela ukusebenza koqhagamshelwano lwe-TCP nokuhanjiswa kweepakethi ngaxeshanye kwiindlela ezininzi ngokusebenzisa ujongano lwenethiwekhi eyahlukeneyo ebotshelelwe kwiidilesi ezahlukeneyo ze-IP. Yongezwe i-API ukulawula imisinga ye-MPTCP ukusuka kwindawo yomsebenzisi.

Kuyacaciswa ukuba yongezwe phezu 420 imigca yekhowudi ezinxulumene nomlawuli amdgpu, apho malunga nemigca engama-400 zenziwe ngokuzenzekelayo iifayile zeheader ezinedatha yeerejista ze-ASIC kumqhubi we-AMD GPU, kwaye enye imigca ye-22,5K ibonelela ngokuphunyezwa kokuqala kwenkxaso ye-AMD SoC000. Ubungakanani bubonke bomqhubi we-AMD GPUs bugqithile kwimigca yekhowudi ye-21 yezigidi. Ukongeza kwi-SoC4, umqhubi we-AMD uquka inkxaso ye-SMU 21.x (Iyunithi yoLawulo lweSistim), inkxaso ehlaziyiweyo ye-USB-C kunye ne-GPUVM, kwaye ilungele ukuxhasa isizukulwana esilandelayo se-RDNA13 (RX 3) kunye ne-CDNA (i-AMD instinct) .

Umqhubi we-i915 (ukrelekrele) iye yaphucula amandla olawulo, Izazisi ze-Intel DG2 (Arc Alchemist) GPUs ezisetyenziswa kwiilaptops zongezwa, inkxaso yokuqala yanikezelwa kwiqonga le-Intel Raptor Lake-P (RPL-P), ulwazi kumakhadi emizobo ye-Arctic Sound-M yongezwa, yaphunyezwa i-ABI yeenjini zekhompyutha, yongezwa kwinkxaso yekhadi le-DG2 yefomathi ye-Tile4, inkxaso ye-DisplayPort HDR kwiinkqubo ezisekelwe kwi-Haswell microarchitecture.

Umlawuli I-Nouveau itshintshele ekusebenziseni i-drm_gem_plane_helper_prepare_fb driver, ezinye izakhiwo kunye nezinto eziguquguqukayo ziye zabelwa ngokwezibalo. Ngokubhekiselele kusetyenziso lweemodyuli ze-Nouveau kernel ezivulelekileyo yi-NVIDIA, umsebenzi ukuza kuthi ga ngoku uncitshisiwe ekuchongeni nasekususeni iziphene. Kwixesha elizayo, kucetywa ukusebenzisa i-firmware ekhutshiweyo ukuphucula ukusebenza komlawuli.

ubunakho buphuhlisiwe ezinxulumene nazo impendulo ekubhaqweni kwesitshixo sokuqhekeka ("i-split lock"), eyenzeka xa ufikelela kwidatha engalungelelaniswanga kwimemori kuba xa kusenziwa umyalelo weathom, idatha iwela imigca emibini yecache eyandisiweyo ye-CPU. Iingozi ezinjalo zikhokelela ekwehleni okukhulu ekusebenzeni. Ukuba ngaphambili, ngokungagqibekanga, i-kernel ikhuphe isilumkiso ngolwazi malunga nenkqubo ebangele ukuphahlazeka, ngoku inkqubo eyingxaki iya kuthotywa ngokukhawuleza ukugcina ukusebenza kwayo yonke inkqubo.

Yongezwe inkxaso yendlela ye-IFS (In-Field Scan) iphunyezwe kwiiprosesa ze-Intel, ezithi ikuvumela ukuba wenze uvavanyo lokuxilonga lwe-CPU olukwinqanaba elisezantsi ekwazi ukubona iingxaki ezingakhange zibonwe ngeendlela eziqhelekileyo ezisekelwe kwiikhowudi zokulungisa iimpazamo (ECC) okanye i-parity bits.

Olunye utshintsho evelele kule nguqulo intsha:

  • Kongezwe umqhubi we-NVMe isilawuli esisetyenziswa kwiikhompyuter ze-Apple ezisekwe kwi-chip ye-M1.
  • ukongeza inkxaso yokuqala ye-LoongArch isethi yomyalelo we-architecture esetyenziswa kwi-Loongson 3 5000 processors, esebenzisa i-RISC ISA entsha efana ne-MIPS kunye ne-RISC-V.
  • I-architecture ye-LoongArch ifumaneka kwiinguqulelo ezintathu: i-32-bit elula (LA32R), i-32-bit eqhelekileyo (LA32S), kunye ne-64-bit (LA64).
  • Yongeza ukukwazi ukulungisela ifayile ye-bootconfig kwi kernel.
  • 'CONFIG_BOOT_CONFIG_EMBED_FILE=”/PATH/TO/BOOTCONFIG/FILE”'.
  • Isusiwe inkxaso yokhetho lwe-x86-specific boot: nosp, nosmap, nosmep, noexec, kunye noclflush).
  • Inkxaso ye-CPU ye-architecture ye-h8300 engapheliyo (i-Renesas H8 / 300), engazange igcinwe ixesha elide, iyekile.

Gqibela ukuba unomdla wokwazi okungakumbi ngayo malunga nale nguqulo intsha, unokujonga iinkcukacha Kule khonkco ilandelayo.


Yiba ngowokuqala ukuphawula

Shiya uluvo lwakho

Idilesi yakho ye email aziyi kupapashwa. ezidingekayo ziphawulwe *

*

*

  1. Uxanduva lwedatha: UMiguel Ángel Gatón
  2. Injongo yedatha: Ulawulo lwe-SPAM, ulawulo lwezimvo.
  3. Umthetho: Imvume yakho
  4. Unxibelelwano lwedatha: Idatha ayizukuhanjiswa kubantu besithathu ngaphandle koxanduva lomthetho.
  5. Ukugcinwa kweenkcukacha
  6. Amalungelo: Ngalo naliphi na ixesha unganciphisa, uphinde uphinde ucime ulwazi lwakho.