Inyathelo likaGoogle livumela ukuveliswa kwasimahla kweebhetshi zovavanyo lweetshiphusi ezivulekileyo

Mva nje Kwavela iindaba zokuba uGoogle ubambisene nabo iinkampani zokuvelisa I-SkyWater Technology kunye ne-Efabless ukusungula inyathelo evumela abaphuhlisi behardware abavulelekileyo ukuba bakhe iitshiphusi abaziphuhlisayo ngokukhululekileyo.

Inyathelo ijonge ukuvuselela uphuhliso lwehardware evulekileyo, ukunciphisa iindleko ezivulekileyo zophuhliso lweprojekthi kunye nokwenza lula ukusebenzisana nezityalo zokwenza.

Enkosi kwinyathelo nabani na unokuqalisa ukuphuhlisa iitshiphusi zabo ngaphandle koloyiko lweendleko eziphezulu zokuveliswa kweeprototypes zokuqala. UGoogle uhlawula yonke imveliso, ukupakishwa, kunye neendleko zokuthumela ngenqanawe.

Izicelo zokubandakanywa kwinkqubo yemveliso yasimahla inokuthunyelwa rhoqo kwiinyanga ezimbini. I-slot ekufutshane iya kuvala nge-8 kaJuni, kwaye iitshiphusi ezikwaziyo ukungena ziya kuba zilungile nge-30 ka-Agasti kwaye ziya kuthunyelwa kubabhali nge-18 ka-Okthobha.

Kwizicelo ezingenisiweyo, kuchongwe iiprojekthi ezingama-40 (Ukuba kukho izicelo ezingaphantsi kwe-40 ezifakiwe, zonke ezo zigqithise ulawulo lolungiso ziya kufakwa kwimveliso). Ngokusekelwe kwiziphumo zemveliso, umphuhlisi uya kufumana iitshiphusi ezingama-50 kunye neebhodi ezi-5 ezineetshiphusi ezifakiweyo.

iiTLDRs; Iqela le-Google Hardware Toolchains liphehlelela i-portal yomphuhlisi omtsha, developer.google.com/silicon , ukunceda uluntu lwabaphuhlisi baqalise ngenkqubo yabo ye-Open MPW yothutho. Oku kuya kuvumela nabani na ukuba angenise umthombo ovulekileyo woyilo lwe-IC ukuba lwenziwe ngaphandle kweendleko.

Ukusukela ngoNovemba ka-2020, xa iSkywater Technologies yayibhengeza ubambiswano lwayo noGoogle ukuvula iKhithi yoYilo lweNkqubo yeSKY130 inkqubo yenkqubo, iqela leHardware Toolchains apha kuGoogle belikuhambo lokwenza ulwakhiwo oluvulekileyo lwesilicon lufikeleleke kubo bonke abaphuhlisi. Ukufikelela kumthombo ovulekileyo kunye nePDK enokwenziwa itshintsha imeko ekhoyo kumzi mveliso woyilo wesilicon kunye nemfundo:
Abaqulunqi ngoku bakhululekile ukuba baqale iiprojekthi zabo simahla kwi-NDA kunye nezithintelo zokusetyenziswa.
Abaphandi banokwenza uphando lwabo luphindaphindeke ngoontanga babo.
Izixhobo ezivulekileyo ze-EDA zinokudibaniswa nzulu kunye nenkqubo yokuvelisa

Kuphela zizicelo zeeprojekthi ezisasazwe ngokupheleleyo phantsi kweelayisensi ezivulekileyo zamkelweyo, engafakwanga kwizivumelwano ezingachazwanga (NDA) kwaye ezingathinteli umda weemveliso zabo.

UGoogle unike ithuba lemveliso yasimahla yeebhetshi zovavanyo lwetshiphu evulekileyo

Idatha yokuvelisa kufuneka idluliselwe kwifomathi ye-GDSII, iphumelele i-suite yovavanyo olubonelelweyo, kwaye iphinde iphinde iphinde ifakwe kwiifayile zeprojekthi yokuqala (okt ukuvakalisa iprojekthi evulekileyo, kodwa ayiyi kusebenza ukudlulisa uyilo lobunikazi kwimveliso).

Iprojekthi nganye ine-2,92mm x 3,52mm yendawo yomsebenzisi esisigxina kunye nezikhonkwane ze-I/O ezingama-38 kwi-harness echazwe kwangaphambili ukomeleza uyilo lwakho. Ikwanazo neziseko zovavanyo eziyimfuneko zokuqinisekisa iinkcukacha kunye nokuziphatha kwetshiphu phambi kokuba ithunyelwe ukuba irekhodwe.

Ukwenza lula uphuhliso lwetshiphu evulekileyo, ezi zixhobo zilandelayo zivulekileyo zinikezelwe:

  • I-SkyWater PDK (i-Process Design Kit), i-toolkit echaza inkqubo yokwenziwa kwe-130nm (SKY130) esetyenziswe kwi-SkyWater factory kwaye ikuvumela ukuba ulungiselele iifayile zoyilo ezifunekayo kwimveliso ye-chip.
  • I-OpenLane yiseti yamacandelo oguqulelo oluzenzekelayo lweesekethe ze-ASIC RTL kwifomathi ye-GDSII esetyenziswa kwiifektri zetshiphu.
  • I-XLS (i-Accelerated HW Synthesis) yiseti yezixhobo zokudibanisa iifayile zeprojekthi kunye ne-chip hardware padding ehambelana nenkcazo enikeziweyo yezinga eliphezulu lomsebenzi ofunekayo, oyilwe ngendlela yophuhliso lwesoftware.
  • Iseti yemigaqo yenkqubo yendibano ye-Bazel ngenkxaso yezixhobo ezivulekileyo (i-Yosys, i-Verilator, i-OpenROAD) yokusebenza ngeelwimi zenkcazo ye-hardware (i-Verilog, i-VHDL, i-Chisel, i-nMigen).
  • I-OpenROAD sisikhokelo sokwenza inkqubo yophuhliso lwesekethe evulekileyo.
  • I-Verible yiseti yezixhobo zophuhliso lwe-Verilog, kubandakanywa umcazululi, inkqubo yokufomatha isimbo, kunye ne-linter.

Gqibela ukuba unomdla wokwazi okungakumbi ngayo, ungajonga iinkcukacha Kule khonkco ilandelayo.


Shiya uluvo lwakho

Idilesi yakho ye email aziyi kupapashwa. ezidingekayo ziphawulwe *

*

*

  1. Uxanduva lwedatha: UMiguel Ángel Gatón
  2. Injongo yedatha: Ulawulo lwe-SPAM, ulawulo lwezimvo.
  3. Umthetho: Imvume yakho
  4. Unxibelelwano lwedatha: Idatha ayizukuhanjiswa kubantu besithathu ngaphandle koxanduva lomthetho.
  5. Ukugcinwa kweenkcukacha
  6. Amalungelo: Ngalo naliphi na ixesha unganciphisa, uphinde uphinde ucime ulwazi lwakho.