Sinusubukan ng Intel na magising at sa roadmap nito nilalayon nitong gumawa ng 7, 4 at 3 nm chips upang maabutan ang mga karibal nito noong 2025

Inilahad ng Intel Ilang araw na ang nakakalipas ang iyong roadmap para sa susunod na apat na taon, kung saan binabanggit nito iyon paggawa ng mga chip batay sa 7nm, 4nm at 3nm na proseso ng mga nodeBilang karagdagan sa na sa 2024 ipapakita nito ang bagong teknolohiya ng pagmamanupaktura ng chip na "I0ntel 20A" (20 Angstroms), na dapat payagan itong abutin at mabawi ang pamumuno.

Gamit ito Ang Intel ay nagpunta sa nakakasakit sa pamamagitan ng pagtaas ng mga aksyon nito upang makahabol sa mga karibal sa susunod na apat na taon, matapos itong ipahayag noong huling tag-init na hindi ito gagawa ng sarili nitong 7nm chips dahil sa mga paghihirap sa pagganap, ngunit nagbago iyon, dahil sa wakas ay nakuha muli ng Intel ang mga reins at nababahala na buwan (sa pagtatapos ng ang taon ng unang mga chips ay dapat magsimulang maihatid sa unang isang-kapat ng 2022).

Sa katunayan, Una nang inihayag ng Intel na babaguhin nito ang sistema ng pagbibigay ng pangalan para sa mga teknolohiya ng pagmamanupaktura ng maliit na tilad. Ngayon ay gagamit ito ng mga maikling pangalan upang ihanay sa paraan ng pamilihan ng TSMC at Samsung ng kanilang mga teknolohiya na semiconductor, kung saan mas maliit ang mas mahusay.

Bilang bahagi ng pagpasok nito sa merkado ng produksyon, ang Intel ay naglalaglag ng mga pangalan tulad ng "Intel 10nm Enhanced Super Fine" at ngayon nabanggit na tinawag nito ang mga nagpoproseso nito tulad ng "Intel 7".

Inaasahan na magkaroon ng isang density na maihahambing sa TSMC ng mga bagong prosesor na ginawa ng Intel at 7nm node ng Samsung at magiging handa para sa produksyon sa Q2022 5. Mahalagang tandaan na ang mga Taiwanese OEMs TSMC at Samsung ng South Korea ay naghahatid ng XNUMXnm na nakaukit na mga produkto.

Roadmap ng Intel mas detalyadong inilalarawan ang panahon ng post-nanometric na tinawag na "Angström" na panahonAyon sa roadmap ng Intel, sisimulan nito ang paggawa ng "Intel 20A" (20 Angstroms) na node ng proseso noong 2024 at, sa unang bahagi ng 2025, gagana ito sa kahalili nito, iyon ay, ang "Intel 18A" node.

Ang pagbabago ng pangalan sa "Intel 20A" sa halip na "2nm" ay lilitaw na dahil sa bahagi sa katotohanang ang compode node na ito ay isasama ang mga pangunahing pagbabago sa arkitektura para sa mga Intel chip. Sa katunayan, sa loob ng maraming taon ang kumpanya ay gumagamit ng FinFET transistors, ngunit para sa Intel 20A, lilipat ito sa isang disenyo ng GAA (gate-all-around) na tinatawag nitong "RibbonFET."

Pinapayagan ng mga disenyo ng GAA ang mga chipmaker na mag-stack ng maraming mga channel sa tuktok ng bawat isa, na ginagawa ang kasalukuyang kapasidad na isang patayong isyu at pagtaas ng density ng maliit na tilad. Ang Intel 20A ay ibabatay din sa "PowerVias," isang bagong pamamaraan ng disenyo ng maliit na tilad na ilalagay ang suplay ng kuryente sa likuran ng maliit na tilad.

Sa wakas, ng mga nagpoproseso na ginawa nito ay binabanggit ang mga sumusunod:

  • Intel 7: nag-aalok ng isang pagtaas ng humigit-kumulang 10-15% sa pagganap bawat watt kumpara sa "Intel 10nm SuperFin", salamat sa pag-optimize ng FinFET transistors. Ang "Intel 7" ay makikita sa mga produkto tulad ng Alder Lake para sa customer sa 2021 at Sapphire Rapids para sa data center, na inaasahang nasa produksyon sa unang isang-kapat ng 2022.
  • Intel 4: ay gumagamit ng lithography ng EUV upang mai-print ang maliliit na tampok na may napakaikling ilaw ng haba ng haba ng daluyong. Sa humigit-kumulang 20% ​​na pagtaas sa pagganap bawat watt, kasama ang mga pagpapabuti sa bakas ng paa, ang Intel 4 ay magiging handa na sa paggawa sa ikalawang kalahati ng 2022 para sa mga produktong naihatid noong 2023, kasama ang Meteor Lake para sa mga customer at Granite Rapids para sa data gitna.
  • Intel 3: Sinasamantala ang mga bagong pag-optimize ng FinFET at isang pagtaas sa EUV upang maihatid ang isang humigit-kumulang na 18% na pagtaas sa pagganap bawat watt sa paglipas ng Intel 4, pati na rin ang mga karagdagang pagpapabuti sa ibabaw. Magagamit ang Intel 3 sa mga produkto ng kumpanya sa ikalawang kalahati ng 2023.
  • Intel 18A: Higit pa sa Intel 20A, ang Intel 18A ay nasa pag-unlad na para sa unang bahagi ng 2025, na may mga pagpapabuti na ginawa sa RibbonFET. Gumagawa din ang Intel sa pagbuo ng isang mataas na numerong siwang (mataas NA) na sistema ng EUV. Inaangkin ng kumpanya na maaaring makatanggap ng unang mataas na numerong aperture na tool sa paggawa ng EUV ng industriya.

Inaasahang gagawa ng chips ang Intel para sa Qualcomm, Amazon, at iba pa sa hinaharap.

Fuente: https://www.intel.com


Iwanan ang iyong puna

Ang iyong email address ay hindi nai-publish. Mga kinakailangang patlang ay minarkahan ng *

*

*

  1. Responsable para sa data: Miguel Ángel Gatón
  2. Layunin ng data: Kontrolin ang SPAM, pamamahala ng komento.
  3. Legitimation: Ang iyong pahintulot
  4. Komunikasyon ng data: Ang data ay hindi maiparating sa mga third party maliban sa ligal na obligasyon.
  5. Imbakan ng data: Ang database na naka-host ng Occentus Networks (EU)
  6. Mga Karapatan: Sa anumang oras maaari mong limitahan, mabawi at tanggalin ang iyong impormasyon.